ToB企服应用市场:ToB评测及商务社交产业平台

标题: github有趣项目:Verilog在线仿真( DigitalJS+edaplayground) [打印本页]

作者: 立聪堂德州十三局店    时间: 2024-7-13 04:18
标题: github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)
DigitalJS


创建文件


编写代码

  1. module adder (
  2.     input logic [3:0] a,  // 4-bit 输入 a , logic用于替代 reg(寄存器,过程赋值)和 wire (线网,连续赋值)
  3.     input logic [3:0] b,  // 4-bit 输入 b
  4.     output logic [4:0] sum // 5-bit 输出 sum,考虑到可能的进位
  5. );
  6.     assign sum = a + b; // 进行加法运算
  7. endmodule
复制代码

Run


加载示例



edaplayground使用教程


注册账户





运行D触发器示例


可更换仿真工具


波形查看和分析



  1. initial begin
  2.   $dumpfile("dump.vcd"); $dumpvars;
  3.   end
复制代码


免责声明:如果侵犯了您的权益,请联系站长,我们会及时删除侵权内容,谢谢合作!更多信息从访问主页:qidao123.com:ToB企服之家,中国第一个企服评测及商务社交产业平台。




欢迎光临 ToB企服应用市场:ToB评测及商务社交产业平台 (https://dis.qidao123.com/) Powered by Discuz! X3.4