关于VHDL中Loop State error...loop must terminate within 10,000 iterati ...

打印 上一主题 下一主题

主题 853|帖子 853|积分 2561

关于VHDL中Loop State error...loop must terminate within 10,000 iterations错误解决方法

首先比力下面两段代码:(使用while循环描述偶校验位产生电路)
代码一
[code]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity parity_check isport(          datain: in std_logic_vector(7 downto 0);    y: out std_logic        );end parity_check;architecture rtl of parity_check isbegin    process(datain)            variable tmp: std_logic:='0';                ;不同点                variable i: integer:= 0;                        ;不同点    begin        while i
回复

使用道具 举报

0 个回复

倒序浏览

快速回复

您需要登录后才可以回帖 登录 or 立即注册

本版积分规则

tsx81428

金牌会员
这个人很懒什么都没写!
快速回复 返回顶部 返回列表