学习笔记:Verilog 语法

打印 上一主题 下一主题

主题 720|帖子 720|积分 2160


  • 参考:
    https://www.runoob.com/w3cnote/verilog-basic-syntax.html
    https://www.runoob.com/w3cnote/verilog-number.html
    https://www.runoob.com/w3cnote/verilog-data-type.html
    https://www.runoob.com/w3cnote/verilog-expression.html
  • 巨细写格式、换行等与c类似
  1. wire [1:0]  results ;
  2. assign      results = (a == 1'b0) ? 2'b01 :
  3.             (b==1'b0) ? 2'b10 :
  4.                 2'b11 ;
复制代码

  • 解释与c类似
  1. reg [3:0] counter ;  // A definition of counter register
  2. /*
  3. Next are notes with multiple lines.
  4. Codes here cannot be compiled.
  5. */
  6. assign   addr = 12'b0 ;
复制代码

  • 标识符与c类似,多了一个$ 符号
    标识符(identifier)可以是任意一组字母、数字、$ 符号和 _(下划线)符号的合,但标识符的第一个字符必须是字母或者下划线,不能以数字或者美元符开始。标识符是区分巨细写的。
  • 关键字
    关键字全部小写。
    参考:https://blog.csdn.net/Xiaomo_haa/article/details/104182082
    verilog-std-1364-2005.pdf
  • 数值种类
    Verilog HDL 有四种根本的值来表现硬件电路中的电平逻辑:
    0:逻辑 0 或 “假”
    1:逻辑 1 或 “真”
    x 或 X:未知,大概为 1,也大概为 0。
    z 或 Z:高阻,常见于信号(input, reg)没有驱动时的逻辑结果。
  • 整数数值表现方法
    正当的基数格式有 4 种,包括:十进制('d 或 'D),十六进制('h 或 'H),二进制('b 或 'B),八进制('o 或 'O)。数值可指明位宽,也可不指明位宽。
  1. 4'b1011         // 4bit 数值
  2. 32'h3022_c0de   // 32bit 的数值,下划线 _ 是为了增强代码的可读性
  3. counter = 'd100 ; //一般会根据编译器自动分频位宽,常见的为32bit
  4. counter = 100 ; //默认十进制
  5. counter = 32'h64 ;
  6. //负数
  7. -6'd15  
  8. -15
复制代码

  • 实数/浮点数表现方法
  1. 30.123
  2. 6.0
  3. 0.001
  4. 1.2e4         //大小为12000
  5. 1_0001e4      //大小为100010000
  6. 1E-3          //大小为0.001
复制代码

  • 字符串表现方法
  1. reg [0: 14*8-1]       str ;
  2. initial begin
  3.     str = "www.runoob.com";
  4. end
复制代码

  • 数据范例
    Verilog 最常用的 2 种数据范例就是线网(wire)与寄存器(reg),别的范例可以明白为这两种数据范例的扩展或辅助。
    wire 范例表现硬件单元之间的物理连线,由其连接的器件输出端连续驱动。假如没有驱动元件连接到 wire 型变量,缺省值一样寻常为 “Z”。
    线网型还有其他数据范例,包括 wand,wor,wri,triand,trior,trireg 等。
  1. wire   interrupt ;
  2. wire   flag1, flag2 ;
  3. wire   gnd = 1'b0 ;
复制代码
寄存器(reg)用来表现存储单元,它会保持数据原有的值,直到被改写。
  1. reg    clk_temp;
  2. reg    flag1, flag2 ;
复制代码
寄存器的值可在任意时刻通过赋值操作进行改写
  1. reg rstn ;
  2. initial begin
  3.     rstn = 1'b0 ;
  4.     #100 ;
  5.     rstn = 1'b1 ;
  6. end
复制代码

  • 向量
    当位宽大于 1 时,wire 或 reg 即可声明为向量的情势
  1. reg [3:0]      counter ;    //声明4bit位宽的寄存器counter
  2. wire [32-1:0]  gpio_data;   //声明32bit位宽的线型变量gpio_data
  3. wire [8:2]     addr ;       //声明7bit位宽的线型变量addr,位宽范围为8:2
  4. reg [0:31]     data ;       //声明32bit位宽的寄存器变量data, 最高有效位为0
复制代码
向量的使用
  1. wire [9:0]     data_low = data[0:9] ;
  2. addr_temp[3:2] = addr[8:7] + 1'b1 ;
复制代码

  • 可变向量域选择
  1. reg [31:0]     data1 ;
  2. reg [7:0]      byte1 [3:0];
  3. integer j ;
  4. always@* begin
  5.     for (j=0; j<=3;j=j+1) begin
  6.         byte1[j] = data1[(j+1)*8-1 : j*8];
  7.         //把data1[7:0]…data1[31:24]依次赋值给byte1[0][7:0]…byte[3][7:0]
  8.     end
  9. end
复制代码

  • 整数,实数,时间等寄存器范例
    整数范例用关键字 integer 来声明。声明时不消指明位宽,位宽和编译器有关,一样寻常为32 bit。reg 型变量为无符号数,而 integer 型变量为有符号数。
    实数(real),可用十进制或科学计数法来表现。实数声明不能带有范围,默认值为 0。假如将一个实数赋值给一个整数,则只有实数的整数部门会赋值给整数。
    时间(time)宽度一样寻常为 64 bit,通过调用系统函数 $time 获取当前仿真时间。
  1. time       current_time ;
  2. initial begin
  3.        #100 ;
  4.        current_time = $time ; //current_time 的大小为 100
  5. end
复制代码

  • 数组
  1. integer          flag [7:0] ; //8个整数组成的数组
  2. reg  [3:0]       counter [3:0] ; //由4个4bit计数器组成的数组
  3. wire [7:0]       addr_bus [3:0] ; //由4个8bit wire型变量组成的数组
  4. wire             data_bit[7:0][5:0] ; //声明1bit wire型变量的二维数组
  5. reg [31:0]       data_4d[11:0][3:0][3:0][255:0] ; //声明4维的32bit数据变量数组
复制代码
对数组元素的赋值操作:
  1. flag [1]   = 32'd0 ; //将flag数组中第二个元素赋值为32bit的0值
  2. counter[3] = 4'hF ;  //将数组counter中第4个元素的值赋值为4bit 十六进制数F,等效于counter[3][3:0] = 4'hF,即可省略宽度;
  3. assign addr_bus[0]        = 8'b0 ; //将数组addr_bus中第一个元素的值赋值为0
  4. assign data_bit[0][1]     = 1'b1;  //将数组data_bit的第1行第2列的元素赋值为1,这里不能省略第二个访问标号,即 assign data_bit[0] = 1'b1; 是非法的。
  5. data_4d[0][0][0][0][15:0] = 15'd3 ;  //将数组data_4d中标号为[0][0][0][0]的寄存器单元的15~0bit赋值为3
复制代码

  • 存储器,即寄存器数组
  1. reg               membit[0:255] ;  //256bit的1bit存储器
  2. reg  [7:0]        mem[0:1023] ;    //1Kbyte存储器,位宽8bit
  3. mem[511] = 8'b0 ;                  //令第512个8bit的存储单元值为0
复制代码

  • 参数,即常量
    常量,用关键字 parameter 声明,只能赋值一次
  1. parameter      data_width = 10'd32 ;
  2. parameter      i=1, j=2, k=3 ;
  3. parameter      mem_size = data_width * 10 ;
复制代码
局部参数用 localparam 来声明,其作用和用法与 parameter 相同,区别在于它的值不能被改变
17. 表达式
表达式由操作符和操作数构成。表达式可以在出现数值的任何地方使用。
  1. a^b ;          //a与b进行异或操作
  2. address[9:0] + 10'b1 ;  //地址累加
  3. flag1 && flag2 ;  //逻辑与操作
复制代码

  • 操作数可以是任意的数据范例
    操作数可以为常数,整数,实数,线网,寄存器,时间,位选,域选,存储器及函数调用等
  • 操作符
    大部门操作符与 C 语言中类似。大约 9 种操作符,分别是算术、关系、等价、逻辑、按位、归约、移位、拼接、条件操作符。不确定优先级时,建议用圆括号将表达式区分开来。
  • 算术操作符包括单目操作符和双目操作符
    与 C 语言类似。
    乘(*)、除(/)、加(+)、减(-)、求幂(**)、取模(%)
  • 和 - 也可以作为单目操作符来使用,表现操作数的正负性。此类操作符优先级最高。
  • 关系操作符
    大于(>),小于(<),大于等于(>=),小于等于(<=)
  • 等价操作符
    逻辑相称(== ) ,逻辑不等( ! =),全等( = = =),非全等( ! = =)
    全等似乎是指连位宽也相称。
  • 逻辑操作符
    &&(逻辑与), ||(逻辑或),!(逻辑非)
    结果是一个 1bit 的值,0 表现假,1 表现真,x 表现不确定
  • 按位操作符
    取反(),与(&),或(|),异或(^),同或(^)
    假如 2 个操作数位宽不相称,则用 0 向左扩展补充较短的操作数。
  • 归约操作符(一个操作数)
    归约与(&),归约与非(&),归约或(|),归约或非(|),归约异或(),归约同或(~)
    归约操作符只有一个操作数,它对这个向量操作数逐位进行操作,最终产生一个 1bit 结果。
  • 移位操作符
    左移(<<),右移(>>),算术左移(<<<),算术右移(>>>)
    逻辑右移时,左边高位会补 0;而算术右移时,左边高位会补充符号位,以包管数据缩小后值的精确性。
    算术左移和逻辑左移时,右边低位会补 0。
  • 拼接操作符{,}
    将多个操作数(向量)拼接成新的操作数(向量),信号间用逗号隔开。
  1. A = 4'b1010 ;
  2. B = 1'b1 ;
  3. Y1 = {B, A[3:2], A[0], 4'h3 };  //结果为Y1='b1100_0011
  4. Y2 = {4{B}, 3'd4};  //结果为 Y2=7'b111_1100
  5. Y3 = {32{1'b0}};  //结果为 Y3=32h0,常用作寄存器初始化时匹配位宽的赋初值
复制代码

  • 条件操作符
    condition_expression ? true_expression : false_expression

免责声明:如果侵犯了您的权益,请联系站长,我们会及时删除侵权内容,谢谢合作!更多信息从访问主页:qidao123.com:ToB企服之家,中国第一个企服评测及商务社交产业平台。
回复

使用道具 举报

0 个回复

倒序浏览

快速回复

您需要登录后才可以回帖 登录 or 立即注册

本版积分规则

徐锦洪

金牌会员
这个人很懒什么都没写!

标签云

快速回复 返回顶部 返回列表