【牛客】8 企业真题

打印 上一主题 下一主题

主题 546|帖子 546|积分 1638

VL59 根据RTL图编写Verilog程序

这题比较简单,照着写就好了。
[code]`timescale 1ns/1nsmodule RTL(    input clk,    input rst_n,    input data_in,    output reg data_out    );    reg data_in_reg;    always@(posedge clk)    begin        if(~rst_n)        begin            data_in_reg
回复

使用道具 举报

0 个回复

倒序浏览

快速回复

您需要登录后才可以回帖 登录 or 立即注册

本版积分规则

怀念夏天

金牌会员
这个人很懒什么都没写!

标签云

快速回复 返回顶部 返回列表