github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

打印 上一主题 下一主题

主题 511|帖子 511|积分 1533

DigitalJS



  • https://github.com/tilk/digitaljs
  • 这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys(这里是 Github 存储库),它有一个配套项目 yosys2digitaljs,它可以转换 Yosys 将文件输出到 DigitalJS。它也旨在成为一种讲授工具, 因此,可读性和易于查抄是 项目。
创建文件



  • SystemVerilog 提供了更多高级功能,尤其是在验证方面,使其在当代设计流程中更具上风。SystemVerilog 向后兼容 Verilog,这意味着现有的 Verilog 代码可以在 SystemVerilog 环境中运行,而无需大幅修改。

  • 创建一个sv文件


编写代码

  1. module adder (
  2.     input logic [3:0] a,  // 4-bit 输入 a , logic用于替代 reg(寄存器,过程赋值)和 wire (线网,连续赋值)
  3.     input logic [3:0] b,  // 4-bit 输入 b
  4.     output logic [4:0] sum // 5-bit 输出 sum,考虑到可能的进位
  5. );
  6.     assign sum = a + b; // 进行加法运算
  7. endmodule
复制代码

Run


加载示例



edaplayground使用教程



  • https://github.com/edaplayground/eda-playground
  • https://www.edaplayground.com/
注册账户




  • Register

  • https://www.edaplayground.com/register
  • 经过测试,门生邮箱是可以用的



运行D触发器示例


可更换仿真工具


波形查看和分析




  • 假如想使用EPWave,需要再testbench中参加雷同如下的控制语句
  1. initial begin
  2.   $dumpfile("dump.vcd"); $dumpvars;
  3.   end
复制代码


免责声明:如果侵犯了您的权益,请联系站长,我们会及时删除侵权内容,谢谢合作!更多信息从访问主页:qidao123.com:ToB企服之家,中国第一个企服评测及商务社交产业平台。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?立即注册

x
回复

使用道具 举报

0 个回复

倒序浏览

快速回复

您需要登录后才可以回帖 登录 or 立即注册

本版积分规则

立聪堂德州十三局店

金牌会员
这个人很懒什么都没写!

标签云

快速回复 返回顶部 返回列表